Globalization concept

TMS320LF2407APGEA ACTIVE 16-bit DSP, 40-MHz, 32-kw flash, 144-pin

TMS320LF2407APGEA ACTIVE 16-bit DSP, 40-MHz, 32-kw flash, 144-pin

Fa'amatalaga Puupuu:

TMS320LF2407APGEA
GALUEGA
16-bit DSP, 40-MHz, 32-kw moli, 144-pin


Fa'amatalaga Oloa

Suesuega

Faailoga o oloa

Fa'aaliga mo TMS320LF2407A

Fa'atekonolosi Fa'ainitaneti static CMOS

25-ns Taimi Ta'amilosaga Fa'atonu (40 MHz)

40-MIPS Fa'atinoga
Maualalo 3.3-V Design
Fa'avae ile TMS320C2xx DSP CPU Core
Fa'ailoga-E fetaui ma F243/F241/C242
Seti Fa'atonu ma Fa'atonu Fa'atasi ma F240
Flash (LF) ma ROM (LC) Filifiliga Meafaigaluega
LF240xA: LF2407A, LF2406A, LF2403A, LF2402A
LC240xA: LC2406A, LC2404A, LC2403A, LC2402A
I-Chip Memory
E oʻo atu i le 32K Upu x 16 Bits o Flash EEPROM (4 Vaega) poʻo le ROM
Polokalama "Code-Security" Feature mo le On-Chip Flash/ROM
E oʻo atu i le 2.5K Upu x 16 Bits o Faʻamaumauga / Polokalama RAM

544 Upu o le Lua-Access RAM

E oo atu i le 2K upu o le RAM e tasi-Access
Boot ROM (LF240xA Meafaigaluega)
SCI/SPI Bootloader
E oʻo atu i le lua Faʻatonu-Manager (EV) Module (EVA ma le EVB), Taʻitasi e aofia ai:
Lua 16-Bit Taimi Fa'amoemoe Lautele
E valu alavai 16-Bit Pulse-Width Modulation (PWM) e mafai ai:
Tolu-Vaega Inverter Pulea
Nofoaga Tutotonu- po'o le Fa'atutuga-Fa'asagaga o Alavai PWM
Fa'alavelave Fa'afuase'i PWM Ala Tapuni Fa'atasi ma Fafo PDPINTx Pin
Polokalame Matemate (Taimi e mate) e Puipuia ai Fa'aletonu Fanau
Tolu Iunite Pu'e mo le Fa'ailoga Taimi o Mea na tutupu i fafo
Fa'ailoga Fa'auiga mo Pin Filifilia
I-Chip Position Encoder Interface Circuitry
Fa'aliliuga A-i-D Fa'atasi
Fuafuaina mo AC Induction, BLDC, Suia Reluctance, ma Stepper Motor Control
Fa'atatau mo le tele o afi ma/po'o le Pulea Fa'aliliu
Fa'amatalaga Fa'alogo (LF2407A)
192K Upu x 16 Bits o le Aofa'i Manatu: 64K Polokalama, 64K Fa'amaumauga, 64K I/O
Matamata Matamata (WD) Module Taimi
10-Bit Analog-to-Digital Converter (ADC)
8 po'o le 16 Fa'atele Fa'auiga Ala
500 ns MIN Taimi Liua
Filifilia Twin 8-State Sequencers Faʻaosoina e le lua Pule Faʻatonu
Feso'ota'iga a le Vaega Pule (CAN) 2.0B Module (LF2407A, 2406A, 2403A)
Feso'ota'iga Fa'asologa Fa'asologa (SCI)
16-Bit Serial Peripheral Interface (SPI) (LF2407A, 2406A, LC2404A, 2403A)
Vaega-Loka-Loop (PLL)-Fa'avae Uati Fausia
E o'o atu i le 40 Fa'apolokalame Ta'ito'atasi, Fa'atele Fa'amoemoega Lautele Fa'aaofia/Otu (GPIO) pine
E o'o atu i le Lima Fa'alavelave i fafo (Puipuiga Malosiaga, Toe Seti, Lua Fa'alavelave Fa'alava)
Pulea Malosiaga:
Tolu Faiga Malosi-I lalo
Malosiaga e fa'amalo i lalo pito ta'itasi ta'ito'atasi
Fa'ata'ita'iga Fa'ata'ita'i Fa'atatau a le JTAG-Taimi Moni, IEEE Standard 1149.1 (JTAG)
Mea faigaluega Atina'e E aofia ai:
Texas Instruments (TI) ANSI C Compiler, Assembler/Linker, ma Code Composer Studio™;Debugger
Iloiloga Modules
Fa'ata'ita'iga a le Tagata Lava Fa'ata'ita'i (XDS510™;)
Lagolago Fa'atekonolosi Fa'atekonolosi a Vaega Tolu-Tolu
Filifiliga afifi
144-Pin LQFP PGE (LF2407A)
100-Pin LQFP PZ (2406A, LC2404A)
64-Pin TQFP PAG (LF2403A, LC2403A, LC2402A)
64-Pin QFP PG (2402A)
Filifiliga Fa'ateleina o le Vevela (A ma le S)
A: –40°C i le 85°C
S: –40°C i le 125°C

Code Composer Studio ma XDS510 o fa'ailoga fa'ailoga a Texas Instruments.

O isi fa'ailoga tau fefa'ataua'iga o meatotino a latou tagata.

IEEE Standard 1149.1-1990, IEEE Standard Test-Access Port

TMS320C24x, TMS320C2000, TMS320, ma C24x o fa'ailoga fa'atau a Texas Instruments.

Faʻamatalaga mo le TMS320LF2407A

O masini TMS320LF240xA ma TMS320LC240xA, sui fou o le TMS320C24x™;fa'atupuina o fa'atonuga fa'ailoga numera (DSP), o se vaega o le TMS320C2000™;fa'avae o DSP fa'amautu.O masini 240xA e ofoina atu le TMS320™ fa'aleleia;DSP faʻataʻitaʻiga faʻataʻitaʻiga o le C2xx core CPU mo le tau maualalo, maualalo le malosi, ma le maualuga o le gaioiga gaioiga gafatia.Ole tele ole telefoni feavea'i, ua fa'amalieina mo masini fa'akomepiuta ma fa'atonuga ole gaio'iga, ua tu'ufa'atasia e maua ai se fa'atonu DSP moni e tasi-chip.A'o fetaui fa'ailoga ma le C24x™;O masini fa'atonu DSP, o le 240xA e ofoina atu le fa'ateleina o le fa'atinoina o galuega (40 MIPS) ma le maualuga maualuga o le tu'ufa'atasiga lautele.Va'ai le vaega o le TMS320x240xA Device Summary mo vaega fa'apitoa mo masini.

O le 240xA fa'atupulaga e ofoina atu le tele o lapopo'a manatua ma fa'aoga eseese e fa'afetaui e fa'afetaui le tau ma'oti fa'atinoga e mana'omia e fa'aoga eseese.O masini moli e oo atu i le 32K upu e ofoina atu ai se fofo toe fa'atonuina tau mo le gaosiga o le voluma.O masini 240xA o lo'o ofoina atu se fa'ailoga "code security" e fa'atatau i le fa'aupuga lea e aoga i le puipuia o le toe fa'aluaina e le'i fa'atagaina o tulafono fa'apitoa o lo'o teuina i luga ole chip Flash/ROM.Manatua o masini faʻavae Flash o loʻo i ai se 256-word boot ROM e faʻafaigofie ai polokalame i totonu.O le aiga 240xA e aofia ai foʻi ma masini ROM e fetaui lelei le pine-to-pin ma a latou paʻu Flash.

O masini 240xA uma e ofoina atu ia le itiiti ifo ma le tasi le pule o mea e fai ua fa'amalieina mo le fa'atonutonuina o afi fa'atekinolosi ma le fa'aliliuina o le mana.O le gafatia o lenei module e aofia ai le ogatotonu ma/poʻo le faʻaogaina o le PWM faʻasologa, faʻapipiʻi faʻapipiʻi e mafai ona faʻapipiʻiina e puipuia ai faʻalavelave faʻafuaseʻi, ma faʻafetaui faʻaliliuga analog-i-numera.O masini fa'atasi ai ma pule fa'atino lua e mafai ai e le tele o afi ma/po'o le fa'aliliuina o le pule fa'atasi ma le 240xA DSP e tasi.O pine EV filifilia ua tu'uina atu i ai se 'iput-agava'a feso'ota'iga, lea e fa'aitiitia ai le fa'aoso fa'afuase'i o pine e fa'alavelave.

O le maualuga-faatinoga, 10-bit analog-to-digital converter (ADC) o loʻo i ai se taimi laʻititi o le liua o le 375 ns ma ofoina atu i luga ole 16 auala ole faʻaoga analog.O le gafatia o le autosequencing o le ADC e mafai ai ona maua le maualuga o le 16 liliu mai e faia i se sauniga liliu e tasi e aunoa ma se CPU i luga o le ulu.

O se feso'ota'iga feso'ota'iga fa'asologa (SCI) ua tu'ufa'atasia i masini uma e tu'uina atu feso'ota'iga fa'atasi i isi masini i totonu o le faiga.Mo faiga e mana'omia ai feso'ota'iga fa'aopoopo, o le 2407A, 2406A, 2404A, ma le 2403A e ofoina atu le 16-bit synchronous serial peripheral interface (SPI).O le 2407A, 2406A, ma le 2403A o lo'o ofoina atu le fa'aogaina o feso'ota'iga feso'ota'iga (CAN) e fetaui ma fa'amatalaga 2.0B.Ina ia fa'ateleina le fetu'una'i o masini, o pine fa'atino e mafai fo'i ona fa'atulaga e fai ma mea fa'aoga lautele (GPIOs).

Ina ia faʻafaigofie le taimi o le atinaʻe, ua tuʻufaʻatasia le faʻataʻitaʻiga faʻataʻitaʻiga a le JTAG i masini uma.E maua ai e le fa'alavelave fa'aletonu taimi moni e mana'omia e debug faiga fa'atonutonu numera.Ose fa'asologa atoa o mea faigaluega fa'atupu tulafono mai C compilers i le alamanuia-standard Code Composer Studio™;e lagolagoina e debugger lenei aiga.O le tele o tagata atina'e lona tolu e le gata ina ofoina atu meafaigaluega mo le atina'eina o masini, ae fa'apea fo'i ma le fa'atulagaina o faiga fa'avae ma le lagolago tau atina'e.


  • Muamua:
  • Sosoo ai:

  • 1. O ai le aufaigaluega i lau matagaluega R & D?O a ou agavaa?

    -R & D Fa'atonu: fa'atulagaina le fuafuaga a le kamupani mo se taimi umi R & D ma malamalama i le itu o su'esu'ega ma atina'e;Ta'ita'i ma va'ava'ava'a matagaluega e fa'atino fuafuaga fa'ata'ita'i a le kamupani ma fuafuaga tau su'esu'ega fa'aletausaga;Pulea le alualu i luma o le atinaʻeina o oloa ma fetuunai le fuafuaga;Fa'atu au su'esu'e ma atina'e oloa lelei, su'etusi ma a'oa'oga tagata faigaluega fa'apitoa.

    Pule o le R & D: faia ni oloa fou R&D fuafuaga ma fa'aalia le fa'atinoina o le fuafuaga;Vaavaaia ma pulea le alualu i luma ma le tulaga lelei o galuega su'esu'e;Su'esu'e le atina'eina o oloa fou ma tu'uina atu ni fofo lelei e tusa ai ma manaoga o tagata fa'atau i vaega eseese

    Aufaigaluega R&d: aoina ma fa'avasega fa'amaumauga autu;Polokalame faakomepiuta;Fa'atinoina o su'ega, su'ega ma au'ili'ili;Saunia meafaitino ma meafaigaluega mo suʻega, suʻega ma suʻesuʻega;Fa'amaumau fa'amaumauga o fua, fai fa'atatau ma saunia siata;Faia su'esu'ega fuainumera

     

    2. O le a lau su'esu'ega oloa ma manatu atina'e?

    - Fuafuaga o oloa ma filifiliga manatu o oloa ma iloiloga fa'amatalaga o oloa ma fa'ata'ita'iga o fuafuaga fa'atino ma atina'e fa'ata'ita'iga o oloa ma fa'amaonia fa'alauiloa ile maketi

    Tusi lau savali iinei ma lafo mai ia i matou

    oloa fa'atatau